Sitemap - 2022 - SemiAnalysis

India’s Semiconductor Scam: Indian Mining Tycoon and Pro-CCP Assembly Giant Swindle India’s Semi Subsidy Program

Ventana RISC-V CPUs Beating Next Generation Intel Sapphire Rapids! – Overview of 13 RISC-V Companies, CPUs, and Ecosystem

TSMC’s 3nm Conundrum, Does It Even Make Sense? – N3 & N3E Process Technology & Cost Detailed

Fungible DPUs Are Dead – Acquired By Major US Cloud – Acquisition Details

Marvell's Vision – Custom Silicon, CXL, DPUs, Ethernet, Optical, Telecom, Storage, Automotive, SerDes, 2025 Revenue & EPS

Samsung Backstabs The DRAM Cartel – Capital Expenditure Cuts, 130 Manufacturing Projects, 60 Companies Report

Marvell's Next $1B Business Is Security – Hardware Security Modules HSMs

GPT Model Training Competition Heats Up - Nvidia Has A Legitimate Challenger

Lithography Intensity And Long-Term Wafer Demand

ASML & The Semiconductor Market In 2025 & 2030

Q3 Earnings Ends With A Bang – $NVDA $MU $KLIC

Arm’s Nuclear Option – Qualcomm Must Cancel Next-Generation Products If Arm Succeeds

AMD Genoa Detailed – Architecture Makes Xeon Look Like A Dinosaur

Q3 Semiconductor Roundup – $ENTG $AMD $CRUS $MPWR $POWI $ON $AMKR $ASX $GFS $QCOM $QRVO $SWKS $MKSI $ICHR $COHR $MXL $KLA $NVMI $ONTO

The Future Of Military Sensing And Communications Systems – Co-Packaged Optics Enable Converged RF Phased Arrays

The Future Of Packaging Gets Blurry – Fanouts, ABF, Organic Interposers, Embedded Bridges – Advanced Packaging Part 4

Arm Changes Business Model – OEM Partners Must Directly License From Arm

Q3 Semiconductor Roundup – $TXN $WOLF $ANET $NVDA $TER $UMC $GFS $HXSCL $INTC $STX $VECO $TPRO $ENTG $ASML $LRCX $AMAT $KLA $BESIY $SSNLF $ONTO

Caliptra – First Open-Source Silicon Going Into All Datacenter Chips

How China’s Biren Is Attempting To Evade US Sanctions

2023 Datacenter Outlook – AMD and Intel Revenue, ASP, and Units – Genoa Ramp Details

Is Arm Desperate? Qualcomm Claps Back At Arm’s Potentially Frivolous Lawsuit

China and USA Are Officially At Economic War – Technology Restriction Overview

Amazon Web Services Infrastructure Inefficiencies Cause Cuts To Twitch Driving Creators To Google’s YouTube

Semiconductor Fab Buildout Delays – 2023 to 2025 Wafer Fabrication Equipment Outlook

Ada Lovelace GPUs Shows How Desperate Nvidia Is - AMD RDNA 3 Cost Comparison

SiFive Powers Google TPU, NASA, Tenstorrent, Renesas, Microchip, And More

Meta Discusses AI Hardware and Co-packaged Optics

Is mmWave Adoption Stagnating? Qualcomm’s $6B Opportunity Update, $QCOM EPS Estimates

How Onto Innovation Is Gaining Market Share From KLA - $ONTO $KLA

Astera Labs Is First To CXL Memory Pooling Silicon – Beating Marvell, Rambus, Microchip, and Montage Technologies

Austria’s Silent Monopolies On Advanced Semiconductor Manufacturing – EV Group and IMS Nanofabrication

Beyond Advanced Packaging: Lightmatter Passage Chiplets Co-Packaged On Optical Interposer

CXL Deep Dive – Future of Composable Server Architecture and Heterogeneous Compute, Products From 20 Firms, Overview of 3.0 Standard

GlobalFoundries Stuffing Customers With High Inventory – Underweight $GFS

2022 NAND – Process Technology Comparison, China's YMTC Shipping Densest NAND, Chips 4 Alliance, Long-term Financial Outlook

The History And Timeline Of Flash Memory

Meet NETINT: The Startup Selling Datacenter VPUs To ByteDance, Baidu, Tencent, Alibaba, And More

Intel Cuts Fab Buildout by $4B To Pay Billions In Dividends

Lynceus: Inline, Real-time, AI Based Process Control Monitoring That Can Reduce Inspection & Metrology Capex

The Dark Side Of The Semiconductor Design Renaissance – Fixed Costs Soaring Due To Photomask Sets, Verification, and Validation

China’s SMIC Is Shipping 7nm Foundry ASICs

DISCO Corporation, The World Leader In Semiconductor Capital Equipment For Cutting, Grinding, Polishing

Lam Research Is Outsourcing More Than 1/3 Of Their Manufacturing To Malaysia

ACM Research, China’s Most Successful Semiconductor Capital Equipment Provider, Wins At SK Hynix and Intel

CXL Enables Microsoft Azure To Cut Server Capital Expenditures By Hundreds Of Millions Of Dollars

Nvidia In The Hot Seat?

Qualcomm RFFE Update – $8B By 2025, 2023 iPhone Modem, WiFi 7 and Filter Socket Competition With Broadcom, $3B Automotive RF TAM

Can You Trust Semiconductor Capital Equipment Firms? Supply Chain Or Technology…

Die Size And Reticle Conundrum – Cost Model With Lithography Scanner Throughput

AMD – To Infinity And Beyond

Why America Will Lose Semiconductors

Apple M2 Die Shot and Architecture Analysis – Big Cost Increase And A15 Based IP

Packaging Developments From ECTC 2022

Is Ampere Computing’s Cloud Native Marketing Fluff? – Siryn Ampere One 5nm Architecture, Cost Analysis, and IPO Analysis

Meteor Lake Die Shot and Architecture Analysis – Why Is Intel 4 Only A 40% Area Reduction Versus Intel 7?

Turning The Titanic – How Intel Is Using Software Acquisitions And Increased Accountability To Attempt To Save The Sinking Ship

Marvell Acquires Tanzanite Silicon To Enable Composable Server Architectures Using CXL Based Memory Expansion And Pooling

Ayar Labs | Co-packaged Optics Revolution | The Most Promising Hardware Startup With Wins At HPE And Nvidia?

Samsung Electronics Cultural Issues Are Causing Disasters In Samsung Foundry, LSI, And Even DRAM Memory!

Nvidia Ada Lovelace Leaked Specifications, Die Sizes, Architecture, Cost, And Performance Analysis

Tenstorrent Blackhole, Grendel, And Buda - A Scale Out Architecture For Sparsity, Conditional Execution, And Dynamic Routing

How Nvidia’s Empire Could Be Eroded - Intel Network And Edge Has The Playbook

Short Report: Nvidia Supplier Cut Out Of Next Generation Hopper GPUs - Nvidia Represents Up To 55% of Revenue

As Moore’s Law Slows, Apple Is Forced To Use Cheaper Chipsets In Non-Pro iPhones

GlobalFoundries Fotonix, The Leading Silicon Photonics Foundry For Co-packaged Optics And Processing

Graphcore Announces World’s First 3D Wafer On Wafer Hybrid Bond Processor

Nvidia Hacked - A National Security Disaster

I, Semiconductor – The Regionalization Of Semiconductors Due To Global Supply Chain Instability

The Transformation of Veeco

Intel Is Throwing The Kitchen Sink, But Is The Turn Around Plan Reasonable?

Semiconductor Roundup – 2/10/2022

Semiconductor Roundup – 2/1/2022

Is Intel Shipping Tools Out Of US Fabs So They Can Abuse CHIPS Act Subsidies?

Semiconductor Roundup - 1/28/2022

Semiconductor Roundup - 1/27/2022

Advanced Packaging Part 3 – Intel’s Curious Bet on Thermocompression Bonding, ASM Pacific, Kulicke and Soffa, and Besi TCB Tool Landscape

TSMC Throws Down a $40B-$44B Gauntlet, Far Surpassing Intel And Samsung

Advanced Packaging Part 2 - Review Of Options/Use From Intel, TSMC, Samsung, AMD, ASE, Sony, Micron, SKHynix, YMTC, Tesla, and Nvidia

2022 Semiconductor Outlook (and Webinar) - Transistor Radio Podcast Launch