Sitemap - 2023 - SemiAnalysis

Inference Race To The Bottom - Make It Up On Volume?

ASML Dilemma: High-NA EUV is Worse vs Low-NA EUV Multi-Patterning

AMD MI300 Performance - Faster Than H100, But How Much?

GPU Cloud Economics Explained – The Hidden Truth

Microsoft Swallows OpenAI’s Core Team – GPU Capacity, Incentive Structure, Intellectual Property, OpenAI Rump State

Microsoft Infrastructure - AI & CPU Custom Silicon Maia 100, Athena, Cobalt 100

Nvidia's New China AI Chips Circumvent US Restrictions | H20 Faster Than H100 | Huawei Ascend 910B

AMD MI300 Ramp, GPT-4 Performance, ASP & Volumes

Wafer Wars: Deciphering Latest Restrictions On AI And Semiconductor Manufacturing

Going Vertical: Gate All Around, 3D DRAM, 3D NAND - Kokusai Electric IPO

Nvidia’s Plans To Crush Competition – B100, “X100”, H200, 224G SerDes, OCS, CPO, PCIe 7.0, HBM3E

AI Doomer vs Techno-Optimist: Social Fabric, AI Girlfriends, Mass Media

Amazon Anthropic: Poison Pill or Empire Strikes Back

Nvidia Buys Illumina? The AI Foundry for Healthcare – The Hardware of Life

Arm and a Leg: Arm's Quest To Extract Their True Value

China AI & Semiconductors Rise: US Sanctions Have Failed

TPUv5e: The New Benchmark in Cost-Efficient Inference and Training for <200B Parameter Models

Broadcom’s Google TPU Revenue Explosion, Networking Boom, VMWare Integration

Google Gemini Eats The World – Gemini Smashes GPT-4 By 5X, The GPU-Poors

Nvidia's Optical Ascent: >$1B Revenue; The Missing 800G Ramp; AI Head-Fakes

Nvidia’s Ramp – Volume, ASP, Cloud Pricing, Margins, EPS, Cashflow, China, Competition

Energizing AI: Power Delivery Competition Heats Up Vicor, MPS, Delta, ADI, Renesas

AI Expansion - Supply Chain Analysis For CoWoS And HBM

NAND Flash Monopoly Broken? Tokyo Electron Moly Dep + Cryo Etch Takes On Lam Research For The Future Of NAND

GPT-4 Architecture, Infrastructure, Training Dataset, Costs, Vision, MoE

AI Capacity Constraints - CoWoS and HBM Supply Chain

AMD AI Software Solved – MI300X Pricing, Performance, PyTorch 2.0, FlashAttention, OpenAI Triton

Tesla AI Capacity Expansion – H100, Dojo D1, D2, HW 4.0, X.AI, Cloud Service Provider

Rebuilding Intel – Foundry vs IDM Decades of Inefficiencies Unraveled

AMD MI300 – Taming The Hype – AI Performance, Volume Ramp, Customers, Cost, IO, Networking, Software

Zen 4c: AMD’s Response to Hyperscale ARM & Intel Atom

AI Server Cost Analysis – Memory Is The Biggest Loser

Nvidia’s InfiniBand Problem - Spectrum-X AI Fabric, Tomahawk-5, Jericho-3AI, Quantum-2

Meta Custom Silicon: What's Old Is New

Sound The Siryn: AmpereOne 192-Core CPU

On Device AI – Double-Edged Sword

Meta’s Bizarre AI Infrastructure Choice Costs Them $100s of Millions

Google "We Have No Moat, And Neither Does OpenAI"

Intel Emerald Rapids Backtracks on Chiplets – Design, Performance & Cost

TSMC’s Heroic Assumption – Low Utilization Rates, Fab Cancellation, 3nm Volumes, Automotive Weakness, AI Advanced Packaging Demands, 2024 Capex Weakness

Google AI Infrastructure Supremacy: Systems Matter More Than Microarchitecture

Silicon Carbide Game-Changer: Aehr's Edge - SiC Pure Play $AEHR

Caught in the Crossfire: Short Target in the Looming US Tool Ban Saga

Amazon’s Cloud Crisis: How AWS Will Lose The Future Of Computing

Google OCS Apollo: The >$3 Billion Game-Changer in Datacenter Networking

Marvell's DSP Dilemma? Networking’s Tectonic Shift Led By Broadcom, Nvidia, Arista Networks, Microsoft, Meta, Macom, and more

EUV Requirements Halved? Applied Materials' Sculpta Redefines Lithography And Patterning Market

Embracing Chaos: The Imperfect Art of Semiconductor Manufacturing And Lithography

The Future of the Transistor

Thick Cables, Thin Margins – Microsoft, Amazon, and Google Demand Overstated By $CRDO Credo

Peeling The Onion’s Layers - Large Language Models Search Architecture And Cost

The Inference Cost Of Search Disruption – Large Language Model Cost Analysis

A Century of Moore’s Law

TSMC 3nm FinFlex + Self-Aligned Contacts, Intel EMIB 3 + Foveros Direct, AMD Yield Issues, IBM Vertical Transport FET (VTFET) + RU Interconnects, CFET, Sequential Stacking, Samsung Yield, and more

Intel Cuts Pay For Employees To Keep Their Quarterly Dividend

The Gaps In The New China Lithography Restrictions – ASML, SMEE, Nikon, Canon, EUV, DUV, ArFi, ArF Dry, KrF, and Photoresist

Semiconductor Bear Market Rally? – Inventory Analysis Of 71 Companies Over 25 Years

The AI Brick Wall – A Practical Limit For Scaling Dense Transformer Models, and How GPT 4 Will Break Past It

Separating Reality from Hype - Quantum Computing Explained

How Nvidia’s CUDA Monopoly In Machine Learning Is Breaking - OpenAI Triton And PyTorch 2.0

Intel Roadmap and PC TAM Update – Tone Deaf, Out Of Touch, Living In a Fantasy World, or Disconnected From Reality?

TSMC Says They Will Sidestep The Recession – 2023 Outlook, Q4 2022 Earnings