SemiAnalysis

Home
Meetings
Archive
About
Amazon’s Cloud Crisis: How AWS Will Lose The Future Of ComputingNitro, Graviton, EFA, Inferentia, Trainium, Nvidia Cloud, Microsoft Azure, Google Cloud, Oracle Cloud, Handicapping Infrastructure, AI As A Service, Enterprise Automation, Meta, Coreweave, TCO
Dylan Patel
Mar 20
46
18
Google Apollo: The >$3 Billion Game-Changer in Datacenter NetworkingCustom Optical Switches Reduce Broadcom's Networking Dominance
George Cozma
and
Dylan Patel
Mar 17
24
7
Caught in the Crossfire: Short Target in the Looming US Tool Ban Saga41% Of Revenue At High Risk
Dylan Patel
and
Afzal Ahmad
Mar 23
12
2
Marvell's DSP Dilemma? Networking’s Tectonic Shift Led By Broadcom, Nvidia, Arista Networks, Microsoft, Meta, Macom, and moreWill Marvell's Strongest Moat Be Breached? $MRVL $AVGO $NVDA $ANET $MSFT $MTSI $META
Dylan Patel
Mar 8
16
9

Most popular

How Nvidia’s CUDA Monopoly In Machine Learning Is Breaking - OpenAI Triton And PyTorch 2.0
Dylan Patel
93
22
The Inference Cost Of Search Disruption – Large Language Model Cost Analysis
Dylan Patel
and
Afzal Ahmad
63
56
Amazon’s Cloud Crisis: How AWS Will Lose The Future Of Computing
Dylan Patel
46
18
Samsung Electronics Cultural Issues Are Causing Disasters In Samsung Foundry, LSI, And Even DRAM Memory!
Dylan Patel
48
3
Why America Will Lose Semiconductors
Dylan Patel
100
40
The Gaps In The New China Lithography Restrictions – ASML, SMEE, Nikon, Canon, EUV, DUV, ArFi, ArF Dry, KrF, and Photoresist
Dylan Patel
40
11
See all popular
NewCommunity
EUV Requirements Halved? Applied Materials' Sculpta Redefines Lithography And Patterning Market$4.5B EUV Annual Reduction, High-NA, Contacts, Vias, Throughput, ASP, Use cases, TSMC 2nm, Intel 18A, Samsung 2nm
Dylan Patel
,
George Cozma
, and
Afzal Ahmad
Mar 6
22
8
Embracing Chaos: The Imperfect Art of Semiconductor Manufacturing And Lithography5 Longs, Intel EUV Delayed Again, And More
Dylan Patel
and
Afzal Ahmad
Feb 27
27
15
The Future of the TransistorPlanar to FinFET to Nanosheet to Complementary FET to 2D
Dylan Patel
and
Afzal Ahmad
Feb 21
48
4
Thick Cables, Thin Margins – Microsoft, Amazon, and Google Demand Overstated By $CRDO CredoFuture Demand Booming But Active Electrical Cables Are Not The Salvation
Dylan Patel
and
Afzal Ahmad
Feb 15
23
4
Peeling The Onion’s Layers - Large Language Models Search Architecture And CostAd Engine, Crawl, Index, and Query Processing disrupted by LLMs
Dylan Patel
and
Afzal Ahmad
Feb 13
28
10
The Inference Cost Of Search Disruption – Large Language Model Cost Analysis$30B Of Google Profit Evaporating Overnight, Performance Improvement With H100 TPUv4 TPUv5
Dylan Patel
and
Afzal Ahmad
Feb 9
63
56
A Century of Moore’s LawNova metrology and inspection
Douglas Herz
Feb 4
38
5
TSMC 3nm FinFlex + Self-Aligned Contacts, Intel EMIB 3 + Foveros Direct, AMD Yield Issues, IBM Vertical Transport FET (VTFET) + RU Interconnects, CFET, Sequential Stacking, Samsung Yield, and moreIEDM 2022 Round-Up
Dylan Patel
and
Afzal Ahmad
Feb 2
20
5
See all

Most popular

How Nvidia’s CUDA Monopoly In Machine Learning Is Breaking - OpenAI Triton And PyTorch 2.0
Dylan Patel
93
22
The Inference Cost Of Search Disruption – Large Language Model Cost Analysis
Dylan Patel
and
Afzal Ahmad
63
56
Amazon’s Cloud Crisis: How AWS Will Lose The Future Of Computing
Dylan Patel
46
18
Samsung Electronics Cultural Issues Are Causing Disasters In Samsung Foundry, LSI, And Even DRAM Memory!
Dylan Patel
48
3
Why America Will Lose Semiconductors
Dylan Patel
100
40
The Gaps In The New China Lithography Restrictions – ASML, SMEE, Nikon, Canon, EUV, DUV, ArFi, ArF Dry, KrF, and Photoresist
Dylan Patel
40
11
See all popular
SemiAnalysis

SemiAnalysis

Bridging the gap between the world's most important industry, semiconductors, and business.
RecommendationsView all 9
The Algorithmic Bridge
The Algorithmic Bridge
Alberto Romero
Hardcore Software by Steven Sinofsky
Hardcore Software by Steven Sinofsky
Steven Sinofsky
Davis Summarizes Papers
Davis Summarizes Papers
Davis Blalock
Liberty’s Highlights
Liberty’s Highlights
Liberty
The Chip Letter
The Chip Letter
Babbage

SemiAnalysis

AboutArchiveRecommendationsSitemap
Share this publication

SemiAnalysis

www.semianalysis.com
SemiAnalysis

SemiAnalysis

Bridging the gap between the world's most important industry, semiconductors, and business.

By Dylan Patel
· Over 26,000 subscribers
By registering you agree to Substack's Terms of Service, our Privacy Policy, and our Information Collection Notice
“Content I wish I could have written. ”
Jon Y, The Asianometry Newsletter
“Some of the best analysis and detailed explainers on the how/why/how much of Silicon.”
Steven Sinofsky, Hardcore Software by Steven Sinofsky
“Dylan is the lithography to my etch, LELE baby! But seriously - Dylan's the best in the game, and its a privilege we get to work together in this special time in the semiconductor industry. Thanks for all you've taught me dude. :') ”
Doug O'Laughlin, Fabricated Knowledge
© 2023 SemiAnalysis LLC
Privacy ∙ Terms ∙ Collection notice
Start WritingGet the app
Substack is the home for great writing